Skip to Main Content
IBM Power Ideas Portal


This portal is to open public enhancement requests against IBM Power Systems products, including IBM i. To view all of your ideas submitted to IBM, create and manage groups of Ideas, or create an idea explicitly set to be either visible by all (public) or visible only to you and IBM (private), use the IBM Unified Ideas Portal (https://ideas.ibm.com).


Shape the future of IBM!

We invite you to shape the future of IBM, including product roadmaps, by submitting ideas that matter to you the most. Here's how it works:

Search existing ideas

Start by searching and reviewing ideas and requests to enhance a product or service. Take a look at ideas others have posted, and add a comment, vote, or subscribe to updates on them if they matter to you. If you can't find what you are looking for,

Post your ideas
  1. Post an idea.

  2. Get feedback from the IBM team and other customers to refine your idea.

  3. Follow the idea through the IBM Ideas process.


Specific links you will want to bookmark for future use

Welcome to the IBM Ideas Portal (https://www.ibm.com/ideas) - Use this site to find out additional information and details about the IBM Ideas process and statuses.

IBM Unified Ideas Portal (https://ideas.ibm.com) - Use this site to view all of your ideas, create new ideas for any IBM product, or search for ideas across all of IBM.

ideasibm@us.ibm.com - Use this email to suggest enhancements to the Ideas process or request help from IBM for submitting your Ideas.

Status Is a defect
Workspace IBM i
Created by Guest
Created on Dec 8, 2022

RDi: Fix block indentation feature

Issue 1

When indenting a block of code by highlighting the block and then pressing the Tab key, all of the selected lines are indented as expected, however, if the cursor is on a subsequent line (i.e. at the left hand margin of the next line) that line gets indented as well.

The preferred behaviour would be to indent only the lines which have been highlighted, and not the one the cursor just happens to be resting on.

Issue 2

If the line the cursor is on when the Tab key is pressed is a blank line, the selected block remains selected and I can repeatedly press the Tab key to continue to indent the block further - and this is as expected.

However, if the line the cursor is on is not blank, the highlighted block is deselected, meaning that I have to go and select it all again to indent it further. The highlighted block should remain selected so that I can press the Tab key again and indent the code further.

Idea priority High
  • Guest
    Reply
    |
    Jan 3, 2023
    This request for enhancement has been deemed a defect in our product. Please contact Rational Customer Support to file an PMR.

    IBM Power Systems Development - RDi team